摘要: 下面是我们的Tcl仿真步骤:启动ModelSim SE, 首先看到在在ModelSim SE右边的窗口有ModelSim> 这样的提示符。在提示符后,顺序运行以下命令: vlib work 该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE自动生成的_info文件。 vmap work work 该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。 vlog camera.v camera_tb.v 该命令的作用是编译这些文件,要注意的是文件可以单独分开编译,但是一 阅读全文
posted @ 2011-04-01 20:13 asus119 阅读(11650) 评论(3) 推荐(0) 编辑
摘要: 在VC开发环境下生成正弦信号的初始化ROM表首先,新建一个Win32 Console Application的空工程,再新建一个sin_rom.c文件并将其添加到Source Files中,然后编译、运行。相关C程序如下:#include "stdio.h"#include "math.h"void main(){ int i; float s; printf(" width=10;\n"); printf(" depth=1024;\n"); printf(" address_radix=uns;\n& 阅读全文
posted @ 2011-04-01 20:10 asus119 阅读(1388) 评论(0) 推荐(0) 编辑
摘要: ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。下面就结合实例简要说明操作步骤:1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v2、编写.do文件(camera_tb.do),内容如下:# Create the work libraryvlib workvmap work work# Compile the verilog filesvlog -work work camera.vvlog -work wo 阅读全文
posted @ 2011-04-01 15:23 asus119 阅读(1900) 评论(0) 推荐(0) 编辑
摘要: 对磁珠和电感的应用也有一些了,现在就对它们作一下简要的总结吧。在我们的电路设计中,磁珠主要是对高频传导干扰信号进行抑制;而电感则主要是对低频干扰信号进行抑制。当要对频带很宽的干扰信号进行EMI抑制时,就必须同时采用多个不同性质的电感或磁珠才会有效。电感的高频等效电路如下: 可见,在频率较高时,电感线圈是有分布电容的。而电感的阻抗曲线如下: 理论上,对传导干扰信号进行抑制,电感量是越大越好,但同时电感的分布电容也会越大,这时两者的作用就会相互抵消,就如图中所示,当电感很大时,它对高频干扰信号的阻抗可能还不如小一些的电感。那么,若是要对抑制的频率进一步提高,电感线圈只好用它的最小极限值,只有1圈或 阅读全文
posted @ 2011-04-01 10:35 asus119 阅读(1122) 评论(0) 推荐(0) 编辑
摘要: 通过在工程实践中对0欧电阻的具体使用,对它的功能作用也有了进一步的认识,现在作简要总结如下:1、用于模拟电路和数字电路的单点接地。现在在我们的电路设计中,已经不再是仅仅只有模拟或数字电路了,经常会出现模数混合设计的情况,这时候电路的抗干扰就不得不考虑了。在数字电路中,由于信号的频率是越来越高,而模拟电路对噪声又是相当敏感的,数字电路产生的各种谐波对模拟电路的干扰也就越来越严重,这时候就必须对它们进行有效的隔离,以防止数字电路的干扰噪声窜入模拟电路对其进行干扰。 通常,单点接地的方式有三种:磁珠;电感;0欧电阻。磁珠有特定的截止频率(它与磁珠的材料有关),因此只能对特定的频率有比较好的抑制作用( 阅读全文
posted @ 2011-04-01 10:31 asus119 阅读(674) 评论(0) 推荐(0) 编辑
摘要: 最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样ModelSim就不能直接进行仿真,而QuartusII又不支持Tesbbench的仿真,所以为了在ModelSim中仿真就必须将Altera的这些仿真库添加到ModelSim中。通常,在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面: ·Quartus不支持Testbench; ·调用了megafunction或者lpm库之类的Altera的函数; ·时序仿真要在Modelsim下做仿真。 下面以Altera器件为例,介绍如何在ModelSim中加入Alt 阅读全文
posted @ 2011-04-01 09:55 asus119 阅读(25190) 评论(2) 推荐(4) 编辑