2017年10月25日

摘要: 独热码编程例: module fsm(clock,reset,a,f,g); input clock,reset; input a; output f,g; reg f,g; reg [3:0]state; parameter idle=4'b0000, start=4'b0010, stop=4' 阅读全文
posted @ 2017-10-25 16:06 Assassinn 阅读(321) 评论(0) 推荐(0) 编辑

导航