Verilog中对事件控制的增强iff

  verilog使用@符号来控制基于特定事件的执行流,SystemVerilog增强了@事件控制。

  @标记的一个基本应用——>推断一个具有使能输入的锁存器。下面一个例子演示了一个锁存器建模的基本风格。

always @(data or en)
    if(en) y<=data;

  这种编码风格效率极其低下,即使在使能输入无效的情况下,数据输入的每次改变都会触发事件控制

  SystemVerilog在事件控制中加入了一个iff条件。只有当iff条件为真时,事件控制才会被触发,使得只有在锁存器输出能够改变的时候,事件控制才会被触发。

always @(a or en iff en == 1)
    y <= a;

参考:http://blog.sina.com.cn/s/blog_6c7b6f030101csbp.html

posted @ 2019-10-16 16:26  忆亿亿光年  阅读(2457)  评论(0编辑  收藏  举报