不枉初心,砥砺前行

皮皮祥的博客

欢迎留言,评论

导航

FPGA对输入信号上升沿或下降沿检测原理和Verilog代码

目标:当输入信号,产生下降沿或下降沿时,能在下一个时钟周期得到响应。

                     

 

                           下降沿检测                                                                                                                  上升沿检测

步骤:1、将输入信号打两拍                                                                             步骤:1、将输入信号打两拍

   2、将第一拍信号取反并与第二拍信号相与                            2、将第二拍的信号取反与第一拍信号相与

   3、得到的高电平就是指示信号                                                                        3、得到的高电平就是指示信号

代码:

     

 

posted on 2021-07-05 10:16  皮皮祥  阅读(1235)  评论(0编辑  收藏  举报