摘要: VHDL 文件操作都在tb中,因为涉及到操作系统,所以无法综合。 在vhdl中,文件被看作 行 组成的一维数组。 预先要把两个文件读写相关的库声明。 1 use STD.TEXTIO.all; 2 use ieee.STD_LOGIC_TEXTIO.all; 首先要声明用来存储文件数据的数组,以一个 阅读全文
posted @ 2020-08-03 21:12 天山明月 阅读(847) 评论(0) 推荐(0) 编辑