摘要: 在进行FPGA工程开发中,都会接触到仿真这个环节。FPGA开发一定要仿真,要养成仿真的习惯。 很多初学者或者学艺不精的工程师都比较排斥仿真。 但是,仿真真的很重要! 仿真可以让设计者能够很快知道模块输出值是否正确。说到这,就有读者想问,直接上板子不是更快吗?如果你以后的工作都是用FPGA来跑流水灯, 阅读全文
posted @ 2018-04-24 08:46 alifpga 阅读(1823) 评论(0) 推荐(0) 编辑