摘要: 用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢? `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Enginee 阅读全文
posted @ 2020-06-16 13:39 Connor_Jiao 阅读(731) 评论(0) 推荐(0) 编辑