摘要: 代码:实际设计时要加上复位信号,保证寄存器有初值。 module edge_double( clk, rst_n, d, q ); input clk; input rst_n; input d; output q; reg q_d1; reg q_d2; always@(posedge clk o 阅读全文
posted @ 2020-06-08 11:12 Connor_Jiao 阅读(892) 评论(0) 推荐(1) 编辑