摘要: 摘要: 设计一个自动饮料售卖机,共有two water,其中waterA/10分钱,waterB/5分钱,coin有5分和10分两种,并考虑找零。要求用状态机实现,定义状态,画出状态转移图,并用Verilog完整描述该模块 解析: a=1表示投5分,b=1表示投10分,c=0买waterA,c=1买 阅读全文
posted @ 2020-04-28 19:14 Connor_Jiao 阅读(159) 评论(0) 推荐(0) 编辑
摘要: module loop( input clk, input rst_n, input [7:0]check_sum, output [7:0]check_sum_o ); integer i; reg [7:0]ccc; always@(posedge clk or negedge rst_n) i 阅读全文
posted @ 2020-04-28 17:36 Connor_Jiao 阅读(121) 评论(0) 推荐(0) 编辑