摘要: 设计一个101序列检测器。要画出状态转移图,写verilog,并仿真测试。 使用Moore状态机进行序列检测,状态转移图如下: module seq_101( clk, rst_n, data_in, data_out ); input clk; input rst_n; input data_in 阅读全文
posted @ 2020-04-23 15:46 Connor_Jiao 阅读(2465) 评论(0) 推荐(0) 编辑