只有注册用户登录后才能阅读该文。 阅读全文
posted @ 2020-04-02 19:11 Connor_Jiao 阅读(0) 评论(0) 推荐(0) 编辑
摘要: module Freq_divide ( input clk, input rst_n, output clk_divide ); // count the posedge reg [2:0] cnt_p; reg clk_p; always @ (posedge clk or negedge rs 阅读全文
posted @ 2020-04-02 18:42 Connor_Jiao 阅读(241) 评论(0) 推荐(0) 编辑
摘要: 1 module Freq_divide 2 ( 3 input clk, 4 input rst_n, 5 output clk_divide 6 ); 7 8 // count the posedge 9 reg [1:0] cnt_p; 10 reg clk_p; 11 12 always @ 阅读全文
posted @ 2020-04-02 18:21 Connor_Jiao 阅读(167) 评论(0) 推荐(0) 编辑
摘要: 主体部分: 数分频器的设计比偶数分频器复杂一些,特别是占空比为50%的奇数分频器。如果对占空比没有明确的要求,则可以直接对上升沿计数,计数到(N-1)/2 时让输出翻转,计数到(N-1)时让输出状态再次翻转,并将计数器清零,这样就可以得到一个占空比为2:3的N分频(N为奇数)的分频器。而如果要实现5 阅读全文
posted @ 2020-04-02 17:23 Connor_Jiao 阅读(1044) 评论(0) 推荐(0) 编辑