摘要: 1.多个always语句不能对同一变量赋值。 2.assign语句只能进行阻塞赋值,用来描述组合逻辑。3.verilog描述方式:结构描述(门级描述和模块调用)、数据流描述(assign,wire型)、行为描述(initial、always,reg型)。4.数据流描述根据信号(变量)之间的逻辑关系,... 阅读全文
posted @ 2013-10-05 15:39 aikimi7 阅读(2886) 评论(0) 推荐(0) 编辑
摘要: 一、硬件描述语言Verilog 粗略地看Verilog与C语言有许多相似之处。分号用于结束每个语句,注释符也是相同的(/* ... */和// 都是熟悉的),运算符“==”也用来测试相等性。Verilog的if..then..else语法与C语言的也非常相似,只是Verilog用关键字 begin和end代替了C的大括号。事实上,关键字begin和end对于单语句块来说是可有可无的,就与C中... 阅读全文
posted @ 2013-10-05 15:34 aikimi7 阅读(1937) 评论(0) 推荐(0) 编辑
摘要: 最初,十几年前的初中,首次接触三极管就对“三极管有放大作用”中的“放大”二字吸引,第一反映就是很想为什么能放大?怎么放大的?具体过程怎么样?从那时候起开始翻查各式各样资料,想把“放大”原理弄清楚。为此,学了半导体制造,半导体物理,固态物理,电路设计等数门课程,结果如下: 1,所有资料要么从具体电路,用电流表量出三极电流大小,比较后给出结论,根据那电流关系得出“三极管能放大”的结论。显然这个结果... 阅读全文
posted @ 2013-10-05 15:33 aikimi7 阅读(791) 评论(0) 推荐(0) 编辑
摘要: RT,否则警告Warning: Tri-state node(s) do not directly drive top-level pin(s),会利用或门代替中间的扇出fan-out. 原因:在进行FPGA设计时,对于FPGA内部的信号不能出现被赋值为高阻的状态,只有顶层的信号,即输出的信号才可以赋值为高阻态。 找出这个信号,然后把赋值为x'bz改为x'b0或x'b1(具体是改为x'b0还是... 阅读全文
posted @ 2013-10-05 15:10 aikimi7 阅读(5325) 评论(0) 推荐(0) 编辑
摘要: 一般情况下,DC把case语句综合成选择器电路,但也可能把case语句综合成优先权译码电路。有时,优先权译码电路是不必要的,这是可以使用“//synopsysparallel_case”引导语句强迫DC把case语句综合成选择器电路。这种引导格式在case状态声明没有完全列举时应用较多。Examplealways@(cs_state)begincase(cs_state)//synopsysparallel_case2’b00:next_state=2’b01;2’b01:next_state=2’b00;2’b10:next_state=2’b10;default:next_state=.. 阅读全文
posted @ 2013-10-05 14:04 aikimi7 阅读(3815) 评论(0) 推荐(1) 编辑
摘要: 为了验证FPGA工程中的某个模块的功能和时序的正确性,常常需要对其单独进行验证,但是这些模块通常都与内部的众多信号相连(如系统总线,中断信号线等),往往一个模块的对外接口引脚会多达几百个,对其单独仿真的话,可能会对目标FPGA造成IO资源不足的情况。即使IO资源满足,当众多内部信号变成IO信号时,模块内部的信号将增加额外的IO延时,增加了时序约束的复杂度。 在编译时会出现类似错误: Error: Can't place 108 pins with 2.5 V I/O standard because Fitter has only 81 such free pins available 阅读全文
posted @ 2013-08-20 23:41 aikimi7 阅读(704) 评论(0) 推荐(0) 编辑
摘要: 1. 使用Signal Tap II采集到的数据进行Matlab仿真在使用FPGA进行无线通信或者进行信号处理时,一般按照这样的步骤进行:(1)利用matlab进行浮点算法仿真(2)将matlab浮点算法转换为定点算法,进行仿真,并与浮点算法的性能进行比较(3)如果定点算法性能到达要求,就可以在FPGA上进行定点算法的实现(4)FPGA实现定点算法后,要将FPGA实现的算法性能与matlab仿真进行比较,以确定是否达到要求第四步需要进行FPGA与Matlab仿真的比较。如果是用FPGA的仿真结果与Matlab仿真结果进行比较的话,可以借助Modelsim仿真时将产生的数据写入文件,然后再在Ma 阅读全文
posted @ 2013-06-06 19:44 aikimi7 阅读(1754) 评论(0) 推荐(1) 编辑
摘要: 一、摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总。二、管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,还有以下2种方法。方法一:Import Assignments步骤1: 使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。【这种方式格式最为简单】注意:To和Location两个关键字中间有一个半角逗号。图1 pin.txt步骤2: 在QII软件. 阅读全文
posted @ 2013-05-13 19:12 aikimi7 阅读(586) 评论(0) 推荐(0) 编辑