摘要: 项目之前研究了I2C通信协议的实现,完成FPGA对视频解码芯片SAA7111A的初始化配置,设计实现了I2C主机对从机(SAA7111A)32个寄存器的写操作,因此只简单实现了I2C的写时序。这次重新梳理学习了I2C协议,借助黑金开发板设计I2C主机控制器完成对EEPROM(24LC02)的读写操作... 阅读全文
posted @ 2014-08-11 17:32 aikimi7 阅读(995) 评论(0) 推荐(0) 编辑
摘要: RS232串口经常使用在PC机与FPGA通信中,用于两者之间的数据传输,因为UART协议简单、易实现,故经常使用。DB9接口只需要使用3根线,RXD(2)、TXD(3)和GND(5),如下图所示。而用FPGA实现控制器时只需要利用RXD和TXD两根线即可完成串口通信。UART的异步通信协议如下所示:... 阅读全文
posted @ 2014-08-11 10:23 aikimi7 阅读(2302) 评论(0) 推荐(0) 编辑