摘要: $dumpfile和$dumpvar是verilog语言中的两个系统任务,可以调用这两个系统任务来创建和将指定信息导入VCD文件。 什么是VCD文件?VCD文件是在对设计进行的仿真过程中,记录各种信号取值变化情况的信息记录文件。EDA工具通过读取 VCD格式的文件,显示图形化的仿真波形,所以,可以把VCD文件简单地视为波形记录文件.)下面分别描述它们的用法并 举例说明之。。。。。 阅读全文
posted @ 2010-12-15 10:51 安达米特 阅读(3584) 评论(0) 推荐(3) 编辑
摘要: 详细简明的介绍了Linux中/usr和/var目录。。。。。。 阅读全文
posted @ 2010-03-11 21:42 安达米特 阅读(564) 评论(0) 推荐(1) 编辑
摘要: 本文搜集于网络,主要介绍有铅焊锡与无铅焊锡的区别。 阅读全文
posted @ 2009-10-28 11:42 安达米特 阅读(6426) 评论(0) 推荐(0) 编辑
摘要: 本文简明介绍了NOR flash和NAND flash的区别。。。 阅读全文
posted @ 2009-10-10 14:30 安达米特 阅读(361) 评论(0) 推荐(0) 编辑
摘要: 本文搜集整理电子专业词汇,连续更新中。。。。。。 阅读全文
posted @ 2009-09-29 12:56 安达米特 阅读(421) 评论(0) 推荐(0) 编辑
摘要: 摘录了一下钽电容的硬件参数,以备后用。 阅读全文
posted @ 2009-06-17 21:15 安达米特 阅读(6584) 评论(3) 推荐(0) 编辑
摘要: 最近用到sopc,设计片外ram,故整理“SOPC中SDRAM controller 的Timing配置”一文以备忘。 阅读全文
posted @ 2009-03-27 00:27 安达米特 阅读(1483) 评论(1) 推荐(0) 编辑
摘要: FPGA仿真,主要有FPGA厂家软件仿真和第三方EDATool仿真两种方式。。。。。。。。。 阅读全文
posted @ 2009-01-11 11:52 安达米特 阅读(1781) 评论(0) 推荐(0) 编辑
摘要: 本文对Altera器件的编程进行详解,希望多多点评哦。。。。。。。。。。 阅读全文
posted @ 2008-12-26 00:56 安达米特 阅读(1651) 评论(0) 推荐(0) 编辑
摘要: 本文简单总结了一下verilog2001中generate的精妙用法,并含义应用实例。。。。。。。。。。。。 阅读全文
posted @ 2008-12-24 22:50 安达米特 阅读(3701) 评论(0) 推荐(0) 编辑