摘要: $dumpfile和$dumpvar是verilog语言中的两个系统任务,可以调用这两个系统任务来创建和将指定信息导入VCD文件。 什么是VCD文件?VCD文件是在对设计进行的仿真过程中,记录各种信号取值变化情况的信息记录文件。EDA工具通过读取 VCD格式的文件,显示图形化的仿真波形,所以,可以把VCD文件简单地视为波形记录文件.)下面分别描述它们的用法并 举例说明之。。。。。 阅读全文
posted @ 2010-12-15 10:51 安达米特 阅读(3586) 评论(0) 推荐(3) 编辑