只有注册用户登录后才能阅读该文。 阅读全文
posted @ 2008-08-12 23:58 安达米特 阅读(703) 评论(14) 推荐(0) 编辑
摘要: 该寄存器在clk上升沿并且ctrl为1时,寄存并输出数据到pout。 code如下: entity reg is port( indata:in bit_vector; clk:in bit; ctrl:in bit; pout:out bit_vector ); end reg; a... 阅读全文
posted @ 2008-08-12 17:19 安达米特 阅读(3932) 评论(1) 推荐(0) 编辑
摘要: code如下: entity mux2 is port( in1,in2:in bit_vector; ctrl:in bit; pout:out bit_vector ); end mux2; architecture func of mux2 is begin pout<=in1 when ... 阅读全文
posted @ 2008-08-12 15:07 安达米特 阅读(493) 评论(0) 推荐(0) 编辑
摘要: code如下: entity compare is port( in1,in2:in bit_vector; pout:out bit ); end compare; architecture func of compare is begin process(in1,in2) variable left:... 阅读全文
posted @ 2008-08-12 11:22 安达米特 阅读(1528) 评论(0) 推荐(0) 编辑
摘要: 带有控制端的code: entity adder is port(in1:in bit_vector; in2:in bit_vector; ctrl:in bit; pout:out bit_vector ); end adder; architecture func of adder is begin... 阅读全文
posted @ 2008-08-12 00:09 安达米特 阅读(726) 评论(1) 推荐(0) 编辑