Vivado多线程编译加速

在Tcl Console中输入如下命令:

set_param  general.maxThreads 8

检查是否设置成功:

get_param  general.maxThreads

“跑分”试验如下:

主机配置:

工程一:

Vivado版本:2018.3

FPGA型号:xc7z100ffg900-2

默认2线程:综合4min22s,实现12min40s,共约17mim

提速8线程:综合3min52s,实现10min18s,共约14mim

工程二:

Vivado版本:2018.2

FPGA型号:xc7vx485tffg1761-2

默认2线程:综合25min17s,实现45min10s,共约70mim

提速8线程:综合23min55s,实现37min15s,共约61mim

由此可知,多线程对实现的提速还是比较明显的。

参考连接:

Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?

posted on 2020-12-27 18:14  阿长长  阅读(2344)  评论(0编辑  收藏  举报

导航