modelsim调试把信号显示为小数格式

使用modelsim进行仿真时,涉及到定点小数运算的话,如果把信号设置为小数显示更加直观一些。实际上modelsim是支持显示小数的。

我使用modelsim10.6d 版本

 

上图中选择radix,然后user global setting,再选最上面Global Signal Radix,弹出下面对话框

 

 

 注意这里小数位数格式还有要求…………小于3位大于64都8行

 

这里可以看到,底部有一个custom fixed/float.. ,勾选它,就可以设置小数格式了。Fixed是定点,float是浮点,Fraction bits是小数位数(二进制位数),如果我的信号是40位,这里选20,就是[19:0]属于小数部分,除去符号位剩下的是整数部分;Precision是显示精度(显示几位有效数字),Base Radix是选择显示的进制,默认decimal十进制。Signed是符号位,如果勾选,那么二进制信号的第一位就是符号位了

设置后的结果:

 

posted @ 2020-04-21 21:59  叻亚  阅读(3869)  评论(3编辑  收藏  举报