那些城市那些花

导航

上一页 1 ··· 5 6 7 8 9 10 11 下一页

2017年5月10日 #

*2.3.2_加入env

摘要: 在验证平台中加入reference model、scoreboard等之前,思考一个问题:假设这些组件已经定义好了,那么在验证平台的什么位置对它们进行实例化呢?在top_tb中使用run_test进行实例化显然是不行的,因为run_test函数虽然强大,但也只能实例化一个实例;如果在top_tb中使 阅读全文

posted @ 2017-05-10 22:01 那些城市那些花 阅读(408) 评论(0) 推荐(0) 编辑

UVM_INFO

摘要: 文件:src/ch3/section3.5/3.5.6/get/my_model.sv 21 function void my_model::build_phase(uvm_phase phase); 22 super.build_phase(phase); 23 port = new("port" 阅读全文

posted @ 2017-05-10 01:37 那些城市那些花 阅读(2065) 评论(1) 推荐(0) 编辑

uvm_config_db在UVM验证环境中的应用

摘要: 如何在有效的使用uvm_config_db来搭建uvm验证环境对于许多验证团队来说仍然是一个挑战。一些验证团队完全避免使用它,这样就不能够有效利用它带来的好处;另一些验证团队却过多的使用它,这让验证环境变得不稳定。 本文讨论如何简单有效平衡的在验证环境中使用uvm_config_db,让它验证环境贡 阅读全文

posted @ 2017-05-10 01:11 那些城市那些花 阅读(6998) 评论(0) 推荐(0) 编辑

2017年5月7日 #

*2.2.4 加入virtual interface

摘要: 在前几节的例子中,driver中等待时钟事件(@posedge top.clk)、给DUT中输入端口赋值(top.rx_dv <= 1' b1)都是使用绝对路径,绝对路径的使用大大减弱了验证平台的可移植性。一个最简单的例子就是假如clk信号的层次从top.clk变成了top.clk_inst.clk 阅读全文

posted @ 2017-05-07 23:52 那些城市那些花 阅读(1456) 评论(0) 推荐(0) 编辑

*2.2.3 加入objection机制

摘要: 在上一节中,虽然输出了“main_phase is called”,但是“data is drived”并没有输出。而main_phase是一个完整的任务,没有理由只执行第一句,而后面的代码不执行。看上去似乎main_phase在执行的过程中被外力“杀死”了,事实上也确实如此。 UVM中通过obje 阅读全文

posted @ 2017-05-07 22:10 那些城市那些花 阅读(961) 评论(0) 推荐(0) 编辑

2.2.2 加入factory机制

摘要: 上一节给出了一个只有driver、使用UVM搭建的验证平台。严格来说这根本就不算是UVM验证平台,因为UVM的特性几乎一点都没有用到。像上节中my_driver的实例化及drv.main_phase的显式调用,即使不使用UVM,只使用简单的SystemVerilog也可以完成。本节将会为读者展示在初 阅读全文

posted @ 2017-05-07 22:06 那些城市那些花 阅读(483) 评论(0) 推荐(0) 编辑

*2.2.1 最简单的验证平台

摘要: 2.2 只有driver的验证平台 driver是验证平台最基本的组件,是整个验证平台数据流的源泉。本节以一个简单的DUT为例,说明一个只有driver的UVM验证平台是如何搭建的。 *2.2.1 最简单的验证平台 在本章中,假设有如下的DUT定义: 这个DUT的功能非常简单,通过rxd接收数据,再 阅读全文

posted @ 2017-05-07 19:02 那些城市那些花 阅读(912) 评论(0) 推荐(0) 编辑

2017年5月6日 #

弗格森&红魔

摘要: 本周日曼联对阵斯旺西时,一位名叫皮特-莫利纽克斯的58岁老人,将会举起一张老旧的床单,就如他曾做过的那样。那一次“臭名昭着”的行为要追溯到1989年12月,弗格森当时已经来到了悬崖边上,他的背后是一堵冰冷无情的墙在步步紧逼,老特拉福德球场内充斥着不满的情绪。 那时的标语简明扼要。“三年的借口之后,我 阅读全文

posted @ 2017-05-06 22:56 那些城市那些花 阅读(380) 评论(0) 推荐(0) 编辑

Basic .do(Can be used as template)

摘要: #Time: 2017-05-06 #By : YINBin@122275 quit -sim cd D:/Documents/Work/UVM_PRJ/uvm-crc-testset UVM_DPI_HOME C:/questasim64_10.2c/uvm-1.1d/win64set UVM_H 阅读全文

posted @ 2017-05-06 22:51 那些城市那些花 阅读(264) 评论(0) 推荐(0) 编辑

QuestaSim自动化仿真之do文件

摘要: 一、编写基本的do文件 下面按照实际仿真的步骤来说明do文件中需要用到的各个tcl命令。 1、quit -sim 退出原来的仿真工程; 2、cd 设置工作目录的路径,就是仿真工程路径; 3、vlib work 在工作目录下建立一个work目录,请注意不要用操作系统直接新建一个work的文件夹,因为用 阅读全文

posted @ 2017-05-06 22:44 那些城市那些花 阅读(4944) 评论(0) 推荐(0) 编辑

上一页 1 ··· 5 6 7 8 9 10 11 下一页