体系结构领域的CCF类期刊

CCF-A

期刊

1 TOCS ACM Transactions on Computer Systems ACM
http://dblp.uni-trier.de/db/journals/tocs/
2 TOS ACM Transactions on Storage ACM
http://dblp.uni-trier.de/db/journals/tos/
3 TCAD IEEE Transactions on Computer-Aided Design of
Integrated Circuits and Systems IEEE
http://dblp.uni-trier.de/db/journals/tcad/
4 TC IEEE Transactions on Computers IEEE
http://dblp.uni-trier.de/db/journals/tc/index.html
5 TPDS IEEE Transactions on Parallel and Distributed Systems IEEE
http://dblp.uni-trier.de/db/journals/tpds/
6 TACO ACM Transactions on Architecture and Code
Optimization ACM
http://dblp.uni-trier.de/db/journals/taco/

会议

1 PPoPP ACM SIGPLAN Symposium on Principles &
Practice of Parallel Programming ACM http://dblp.uni-trier.de/db/conf/ppopp/
2 FAST USENIX Conference on File and Storage
Technologies USENIX http://dblp.uni-trier.de/db/conf/fast/
3 DAC Design Automation Conference ACM https://dblp.uni-trier.de/db/conf/dac/
4 HPCA IEEE International Symposium on High
Performance Computer Architecture IEEE http://dblp.uni-trier.de/db/conf/hpca/
5 MICRO IEEE/ACM International Symposium on
Microarchitecture IEEE/ACM https://dblp.uni-trier.de/db/conf/micro/
6 SC International Conference for High Performance
Computing, Networking, Storage, and Analysis IEEE http://dblp.uni-trier.de/db/conf/sc/
7 ASPLOS International Conference on Architectural Support
for Programming Languages and Operating Systems ACM http://dblp.uni-trier.de/db/conf/asplos/
8 ISCA International Symposium on Computer Architecture ACM /IEEE http://dblp.uni-trier.de/db/conf/isca/
9 USENIX ATC USENIX Annual Technical Conference USENIX http://dblp.uni-trier.de/db/conf/usenix/index.html
10 EuroSys European Conference on Computer Systems ACM http://dblp.uni-trier.de/db/conf/eurosys/

CCF-B

期刊

1 TAAS ACM Transactions on Autonomous and Adaptive Systems ACM
http://dblp.uni-trier.de/db/journals/taas/
2 TODAES ACM Transactions on Design Automation of Electronic Systems ACM
http://dblp.uni-trier.de/db/journals/todaes/
3 TECS ACM Transactions on Embedded Computing Systems ACM
http://dblp.uni-trier.de/db/journals/tecs/
4 TRETS ACM Transactions on Reconfigurable Technology and Systems ACM
http://dblp.uni-trier.de/db/journals/trets/
5 TVLSI IEEE Transactions on Very Large Scale Integration (VLSI) Systems IEEE
http://dblp.uni-trier.de/db/journals/tvlsi/
6 JPDC Journal of Parallel and Distributed Computing Elsevier
http://dblp.uni-trier.de/db/journals/jpdc/
7 JSA Journal of Systems Architecture: Embedded Software Design Elsevier
http://dblp.uni-trier.de/db/journals/jsa/
8 Parallel Computing Elsevier
https://dblp.org/db/journals/pc/index.html
9 Performance Evaluation: An International Journal Elsevier
https://dblp.org/db/journals/pe/index.html

会议

1 SoCC ACM Symposium on Cloud Computing ACM http://dblp.uni-trier.de/db/conf/cloud/
2 SPAA ACM Symposium on Parallelism in Algorithms and Architectures ACM http://dblp.uni-trier.de/db/conf/spaa/
3 PODC ACM Symposium on Principles of Distributed Computing ACM http://dblp.uni-trier.de/db/conf/podc/
4 FPGA ACM/SIGDA International Symposium on Field-Programmable
Gate Arrays ACM http://dblp.uni-trier.de/db/conf/fpga/
5 CGO The International Symposium on Code Generation and
Optimization IEEE/ACM http://dblp.uni-trier.de/db/conf/cgo/
6 DATE Design, Automation & Test in Europe IEEE/ACM http://dblp.uni-trier.de/db/conf/date/
7 HOT CHIPS Hot Chips: A Symposium on High Performance Chips IEEE https://dblp.org/db/conf/hotchips/index.html
8 CLUSTER IEEE International Conference on Cluster Computing IEEE https://dblp.uni-trier.de/db/conf/cluster/
9 ICCD International Conference on Computer Design IEEE http://dblp.uni-trier.de/db/conf/iccd/
10 ICCAD International Conference on Computer-Aided Design IEEE/ACM http://dblp.uni-trier.de/db/conf/iccad/
11 ICDCS IEEE International Conference on Distributed Computing
Systems IEEE http://dblp.uni-trier.de/db/conf/icdcs/
12 CODES+ISSS International Conference on Hardware/Software Co-design and
System Synthesis ACM/ IEEE https://dblp.uni
trier.de/db/conf/codesisss/index.html
13 HiPEAC International Conference on High Performance and Embedded
Architectures and Compilers ACM http://dblp.uni-trier.de/db/conf/hipeac/

CCF-C

1 JETC ACM Journal on Emerging Technologies in
Computing Systems ACM
http://dblp.uni-trier.de/db/journals/jetc/
2 Concurrency and Computation: Practice and
Experience Wiley
http://dblp.uni-trier.de/db/journals/concurrency/
3 DC Distributed Computing Springer
http://dblp.uni-trier.de/db/journals/dc/
4 FGCS Future Generation Computer Systems Elsevier
http://dblp.uni-trier.de/db/journals/fgcs/
5 TCC IEEE Transactions on Cloud Computing IEEE
https://dblp.uni-trier.de/db/journals/tcc/
6 Integration Integration, the VLSI Journal Elsevier
http://dblp.uni-trier.de/db/journals/integration/
7 JETTA Journal of Electronic Testing-Theory and
Applications Springer
https://dblp.org/db/journals/et/index.html
8 JGC Journal of Grid computing Springer
https://dblp.uni-trier.de/db/journals/grid/
9 MICPRO Microprocessors and Microsystems: Embedded
Hardware Design Elsevier
http://dblp.uni-trier.de/db/journals/mam/
10 RTS Real-Time Systems Springer
http://dblp.uni-trier.de/db/journals/rts/
11 TJSC The Journal of Supercomputing Springer
http://dblp.uni-trier.de/db/journals/tjs/
12 TCASI IEEE Transactions on Circuits and
Systems I: Regular Papers IEEE
https://dblp.org/db/journals/tcasI/index.html
13 CCF
THPC CCF Transactions on High
Performance Computing CCF
https://dblp.org/db/journals/ccfthpc/index.html
14 TSUSC IEEE Transactions on Sustainable
Computing IEEE
https://dblp.org/db/journals/tsusc/index.html

posted @ 2024-02-24 11:09  信海  阅读(83)  评论(0编辑  收藏  举报