摘要: ##生成块 生成块语句可以动态的生成Verilog代码。 编写代码时必须在代码中说明生成的实例范围,关键字generate-endgenerate用来指定该范围。 可以允许出现在生成块中的 实例 Verilog允许生成实例,生成实例可以是以下的一个或多个类型: 模块 用户定义原语 门级原语 连续赋值 阅读全文
posted @ 2022-02-17 23:16 孤独野猪骑士 阅读(852) 评论(0) 推荐(0) 编辑