Modelsim中UVM环境的搭建

Modelsim中UVM环境的搭建

公众号 :数字积木 

我安装的是 modelsim-win64-10.7-se.exe 这个版本,安装并激活成功后,在modelsim的安装目录就可以看到已经编译好的 UVM库,生成了.dll文件,供UVM验证使用。

 

verilog_src文件夹下储存有UVM的源代码。

 

1,测试modelsim环境变量。

在命令行窗口中输入“ vsim ”,如果环境变量已经添加成功,就会显示如下画面,并自动启动modelsim界面。

 

如果提示该命令找不到,需要把modelsim的安装路径添加到环境变量中去,重新在命令行中输入再测试。

 

 

2,建立仿真工程库,设置uvm环境。

 

在modelsim中建立UVM环境,使用的UVM版本是UVM1.1b。在modelsim的安装目录下的 UVM-1.1b/win64下,就有一个uvm_dpi.dll。

 

在命令行中用“CD”命令,切换到仿真工程目录下。

在该文件夹下,有以下文件。dut.sv是待测试文件,my_driver.sv里面包含驱动信号,top_tb.sv是仿真测试文件。

在命令行中输入 “ vlib work ",新建一个仿真库。

  •  
 

vlib work

 

设置UVM路径 ,工作路径,DPI文件路径。

  •  
  •  
  •  
 

set UVM_HOME C:/modeltech64_10.7/verilog_src/uvm-1.1dset WORK_HOME C:/Users/14551/Desktop/section2.2/2.2.1set UVM_DPI_HOME C:/modeltech64_10.7/uvm-1.1d/win64

输入下面命令,添加仿真文件。 

vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/dut.sv top_tb.sv

  

命令 incdir 的用法和含义如下: 

如:

vlog +incdir+YOUR_SOURCE_PATH foo.v

 

  +incdir+YOUR_SOURCE_PATH 选项是指在verilog文件中出现`include "xxx.v" 时,包含文件的搜索路径。即将包含文件的路径添加进工程中。

 

缺省是搜索当前路径,YOUR_SOURCE_PATH 指定的路径。

 

3,启动仿真.

 

输入下面命令,启动仿真。 

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top_tb

 

添加所有波形,星号 * 表示添加所有顶层信号波形。 

 

view wave *

 

运行仿真,下面的命令选择一个即可,仿真时间可以自定义,合适即好。 

 

run -all //全部运行run 10ns //运行10ns

 

即可看到仿真波形。

 

4,do文件的建立。

 

以上用命令行方式进行操作,而不用图形化界面来进行仿真,最大的原因就是这些命令行可以建立一个do文件,自动化进行仿真操作。

 

将以上的命令全部写入到一个文本文件中,后缀名设置为 .do 。这里将该文件命名为 sim.do

 

 

将该文件放置在工程目录下,在modelsim中定位到该文件目录下,执行命令 : do sim.do ,即可自动进行仿真。

 

 

更加方便的方法是新建一个批处理文件,进行仿真时直接双击该文件即可。新建一个文本文件,输入命令

 

vsim -do sim.do

 

保持问后缀名为 .bat 的文件即可。

 双击该文件,即可自动打开modelsim,自动执行仿真操作。bat文件中的 Vsim 命令执行依赖于第一步中modelsim环境变量的添加。如果该命令不能运行,请正确添加modelsim的环境变量。

 

在公众号“数字积木”对话框中回复:

   UVM仿真

即可获得该文章中的文件。

posted @ 2020-05-06 00:15  数字积木  阅读(204)  评论(0编辑  收藏  举报