上一页 1 ··· 5 6 7 8 9
摘要: 左移就是指的是逻辑移位,右端补0,而右移则是算术移位,最高位补符号位,是负数就补1是正数就补0. 正数左移有正有负: 负数左移也是有正有负 右移则稳定保持符号。 所以左移才叫逻辑位移嘛,因为我不管你符号位,移上去一个1就是一个1. 所以右移叫算数位移:你不管怎么移,我始终保持正负号不变。 最后一点, 阅读全文
posted @ 2019-08-27 17:14 Tonarinototoro 阅读(679) 评论(0) 推荐(0) 编辑
摘要: 1:异或操作满足交换律且如果 A=B则,A^B=0; 2: X&1常常用来判断X的最后一位是否为1,同理X&2可用来判断倒数第二位是否为1 3:左右位移操作是返回左值的。所以我们需要保存x左移i位结果时,写成x=(x<<i); 4 :X&(X-1)是将X的最后一位1置为0的操作,这个手法一般用于求只 阅读全文
posted @ 2019-08-27 16:08 Tonarinototoro 阅读(284) 评论(0) 推荐(0) 编辑
摘要: 笔者才入门directX,对于图形学非常感兴趣,于是在自己毕设就选了个这么个题目。目标很简单,就是不用引擎,只用DirectX和winsocket来完整实现一个FPS局域网联机的基本模型。 下图展示的是我做了些啥: 光照模块其实可以忽略,因为就是最水的点光源。 整个项目最难的是联机模块吧。我就直接上 阅读全文
posted @ 2019-08-25 22:06 Tonarinototoro 阅读(164) 评论(0) 推荐(0) 编辑
摘要: 首先:我们来解释一下理论上是如何计算的: 以上的理论部分很多大佬解释了: 大部分代码其实也很好理解,只有 阅读全文
posted @ 2019-08-24 11:33 Tonarinototoro 阅读(655) 评论(0) 推荐(0) 编辑
上一页 1 ··· 5 6 7 8 9