摘要: 在FPGA设计中常遇到复位的设计,一般情况下,复位分为同步复位和异步复位。 异步复位与同步复位相比,其利用到了D触发器的CLR端,消耗硬件资源小,所以在很多情况下各个模块的复位方式选取为异步复位。但是异步信号容易产生潜在的危险:当异步复位信号在时钟上升沿附近取消复位状态时,时序电路很可能在此出... 阅读全文
posted @ 2014-07-09 19:27 死猫 阅读(390) 评论(0) 推荐(0) 编辑