摘要: 生成块可以动态的生成Verilog代码。可以用于对矢量中的多个位进行重复操作、多个模块的实例引用的重复操作、根据参数确定程序中是否包含某段代码。生成语句可以控制变量的声明、任务和函数的调用、还能对实例引用进行全面的控制。在编程时,应用关键字generate_endgenerate来说明生成的实例范围 阅读全文
posted @ 2016-09-10 13:59 SYoong 阅读(7234) 评论(0) 推荐(0) 编辑
摘要: 在Verilog中存在着4种类型的循环语句,用来控制执行语句的执行次数。 1)forever语句: 连续执行的语句。 2)repeat语句: 连续执行n次的语句。 3)while语句: 执行语句,直至某个条件不满足。 4)for 语句: 三个部分,尽量少用或者不用for循环。 各语句的格式与注意事项 阅读全文
posted @ 2016-09-10 09:16 SYoong 阅读(21308) 评论(0) 推荐(1) 编辑