NavyC

天空没有留下鸟的痕迹 但我已飞过

  博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

2013年8月19日

摘要: 1.FPGA设计中的复位方式是同步复位与异步复位。接下来将看看两者的概念和在实际设计中的区别以及各自的优缺点。1.1异步复位: 它指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位下面给出一个异步复位的例子module test(input sys_clk,input rst_n,input din,outputreg dout );always@(posedge sys_clk ornegedge rst_n)beginif(!rst_n) dout <=1'b0;else dout <= din;endendmodule从RTL图中我们可以看出FPGA的寄存器有一 阅读全文
posted @ 2013-08-19 22:30 NavyC 阅读(521) 评论(0) 推荐(0) 编辑