ubuntu环境变量的三种设置方式

一、设置环境变量三种方法

1.1 临时变量

直接在终端命令框输入:

export PATH=$PATH:/usr/local/arm/gcc-linaro-4.9.4-2017.01-x86_64_arm-linux-gnueabihf/bin

1.2 当前用户的全局设置

sudo vim ~/.bashrc

在文件里面加入:

export PATH=$PATH:/usr/local/arm/gcc-linaro-4.9.4-2017.01-x86_64_arm-linux-gnueabihf/bin

使生效:

source .bashrc

1.3 所有用户的全局设置

sudo vim /etc/profile

在文件里面加入:

export PATH=$PATH:/usr/local/arm/gcc-linaro-4.9.4-2017.01-x86_64_arm-linux-gnueabihf/bin

使生效:

source profile

二、测试当前环境变量

echo &PATH
或者
env

 三、alias设置别名命令

  当我们经常需要在命令窗口键入复杂冗长的命令时,alias命令可以给命令创建一个简单的名称。

alias name='value'
alias nake='value1;value2'

注意:=号后不能有空格;在设定路径别名的时候一定要注意一点的就是在目录名称的最后一定要加一个 '/' 。

举例

 

alias sptl='source /opt/petalinux/Petalinux_2019_2/settings.sh'
export PATH=$PATH:/opt/gcc-linaro-6.3.1-2017.05-x86_64_aarch64-linux-gnu/bin export PATH=$PATH:/opt/gcc-linaro-4.9.4-2017.01-x86_64_arm-linux-gnueabihf/bin alias svivado1902='source /opt/Xilinx_Vitis_2019.2/Vivado/2019.2/settings64.sh' alias vvd1902='source /opt/Xilinx_Vitis_2019.2/Vivado/2019.2/settings64.sh; vivado -nolog -nojournal' alias svts1902='source /opt/Xilinx_Vitis_2019.2/Vitis/2019.2/settings64.sh' alias vts1902='source /opt/Xilinx_Vitis_2019.2/Vitis/2019.2/settings64.sh; vitis'

 

 

 

 alias永久化:

sudo vim ~/.bashrc
添加别名
source ~/.bashrc

 

posted @ 2020-12-28 10:34  Mike_2019  阅读(1835)  评论(0编辑  收藏  举报