摘要: 点击查看代码 snippet coverage function coverage \`include "group_coverage.sv" class ${1:uvc}_coverage extends uvm_component; virtual ${1:uvc}_cov_intf cov_v 阅读全文
posted @ 2024-01-08 10:50 LeslieQ 阅读(9) 评论(0) 推荐(0) 编辑