摘要: 写了一个很简单的程序,2-4译码器。 ```verilog module decoder2to4( input in1, in0, output reg [3:0]out ); always @ (*) begin if ({in1, in0} == 2'b00) out = 4'b1111; el 阅读全文
posted @ 2023-07-30 17:58 Xxaj5 阅读(907) 评论(0) 推荐(0) 编辑