摘要: 1. Warning: VHDL Process Statement warning at random.vhd(18): signal reset is in statement, but is not in sensitivity list 【提示】没把singal放到process()中。 2 阅读全文
posted @ 2017-07-13 17:18 __大娱乐家 阅读(1060) 评论(0) 推荐(0) 编辑