经常使用ModelSim进行仿真,平常都习惯用鼠标点击来完成仿真的操作,对于一些简单的仿真倒还可以,但在一些复杂一点的设计时,涉及的信号很多。不过哪种情况,由于经常要仿真完看波形,操作起来都会显得很麻烦,这时,采用批处理方式进行仿真就显得简单多了!

 

新建一个记事本,命名为wave.do,然后打开添加以下内容(自己添加内容!) 

vlib work          建库 
vmap work work      映射 
vlog div.v tdiv.v        编译verilog代码 
vcom   div.vhl tdiv.vhl          编译vhdl代码 
vsim work.module     仿真相应模块 
view   wave/dataflow      显示波形窗口/数据流窗口 
restart -f 
run -all 
quit -sim          退出仿真 
pwd 
cd 
add wave /tdiv/*          tdiv中的所有信号都加到wave波形图中 

 

添加完成之后,就可以在modelsim中运行了!比如有一批处理文件file.do,你就可以用如下命令来调用它 
 

do file.do

 

注意:你当前的project必须包含该*.do文件才可以运行,否则会出错!

posted on 2010-01-20 14:38  剑武江南  阅读(782)  评论(1编辑  收藏  举报