摘要: 简单介绍一种利用Block Memory Generator IP核生成ROM,实现查找表功能的例子 一、BasicInterface Type:NativeMemory Type:single port ROM 二、Port A Optionsenable port type:always ena 阅读全文
posted @ 2023-04-23 18:08 AnchorX 阅读(607) 评论(0) 推荐(0) 编辑