摘要: 一、何时何处需要复位 参考Xilinx官方文档《UltraFast Design Methodology Guide for FPGAs and SoCs (UG949)》: Xilinx器件具有专用的全局置位/复位信号 (global set/reset signal,GSR)。在器件配置结束时, 阅读全文
posted @ 2023-01-11 01:14 AnchorX 阅读(727) 评论(0) 推荐(0) 编辑