VHDL变量,信号

变量必须在process内 变量不会在电路中出现,仅仅是为了编程方便。

而信号必须在architecture内,并且会出现在电路中。

posted on 2011-10-24 16:11  铁皮1900  阅读(268)  评论(0编辑  收藏  举报

导航