上一页 1 2 3 4 5 6 7 8 9 ··· 31 下一页
摘要: oop:封装\继承\多态 new() new()函数用于申请内存空间并且进行变量的初始化 多态 父类引用指向子类对象 虚方法看对象,实方法看句柄 ref参数 ref声明的端口信号,在进行参数传递时,共享同一个变量存储空间,即“引用”传递进来的实参,而不是复制传递的参数。 int array[]= { 阅读全文
posted @ 2024-01-23 00:54 Icer_Newer 阅读(4) 评论(0) 推荐(0) 编辑
摘要: 根据绿皮书对之前的知识点进行补充 1.验证导论 system verilog硬件验证语言(Hardware verification Language,HVL)特点 受约束的随机激励生成 功能覆盖率 更高层的结构,面向对象编程 多线程以及线程之间的通信 支持HDL数据类型,比如verilog四值逻辑 阅读全文
posted @ 2024-01-22 21:36 Icer_Newer 阅读(11) 评论(0) 推荐(0) 编辑
摘要: 静态变量 继承性(Inheritance) 抽象类和虚方法virtual methods 多态(Ploymorphism) 通过基类的变量可以使用子类的对象 基类中定义的virtual functiond都是共工方法,可以被子类使用 子类重写父类的方法能被父类看到,那就需要虚方法。虚方法就是一个基本 阅读全文
posted @ 2024-01-22 20:59 Icer_Newer 阅读(11) 评论(0) 推荐(0) 编辑
摘要: 内容 面向对象编程 面向对象的基本概念 对象没有办法直接操作,需要通过handle(指向对象的指针)进行操作 面向对象的基本术语 面向对象的优势 类的定义 实例化对象 new() - 产生具体对象,开辟新的内存空间 句柄handle 对象内存空间释放 使用对象 与其他语言类似通过"."进行调用对象和 阅读全文
posted @ 2024-01-21 22:48 Icer_Newer 阅读(12) 评论(0) 推荐(0) 编辑
摘要: 内容 system verilog过程语句:自增和自减操作符 逻辑比较操作符 逻辑值为1bit inside语句 变量类型转换 强制类型转换:$cast() 变量位宽转换 变量符号位转换 for循环语句 for循环中定义的int i和外部的int i是两个变量 for循环控制语句 do...whil 阅读全文
posted @ 2024-01-21 21:58 Icer_Newer 阅读(8) 评论(0) 推荐(0) 编辑
摘要: 联合数组 在内存中分配的空间可以是不连续的 联合数组方法 数组的方法 数组使用推荐 结构体 枚举类型 字符串变量类型String 操作符 阅读全文
posted @ 2024-01-21 19:55 Icer_Newer 阅读(3) 评论(0) 推荐(0) 编辑
摘要: 动态数组 数组定义的时候不用给定数组元素个数 动态数组实例 例1 队列 阅读全文
posted @ 2024-01-21 18:31 Icer_Newer 阅读(3) 评论(0) 推荐(0) 编辑
摘要: system verilog可以用于设计也可以进行验证 语法规则 SV新数据类型 SV数据类型 bit - 0-255 byte - -127 - 128 # 快速进行sv文件仿真 VCS -R -sverilog xxx.sv // 使用二值逻辑产生时钟,不用给clk赋初值,初始值为0 bit c 阅读全文
posted @ 2024-01-21 15:27 Icer_Newer 阅读(30) 评论(0) 推荐(0) 编辑
摘要: clocking会设置input和output的延时 default input #3ns output #1ns 数据是在时钟上升沿驱动的,在时钟上升沿,将vld驱动到dut,dut中也会在时钟上升沿采样vld 认为加大delay之后,可以直接看到采样到的是什么信号,所以可以通过clocking中 阅读全文
posted @ 2024-01-20 20:20 Icer_Newer 阅读(24) 评论(0) 推荐(0) 编辑
摘要: AHB Bus SD Bus DFT & Interrupt 控制集成需求 功能列表 控制器框架图 顶层信号 硬件集成环境 寄存器描述 阅读全文
posted @ 2024-01-16 23:34 Icer_Newer 阅读(19) 评论(0) 推荐(0) 编辑
上一页 1 2 3 4 5 6 7 8 9 ··· 31 下一页