上一页 1 ··· 6 7 8 9 10 11 12 13 14 ··· 31 下一页
摘要: 概述 接口 main bus有很多信号线 verilog会先将模块的输出信号拉出来,然后再将其连接到其他模块,进行不同模块之间的连接比较麻烦且容易出错 interface - 将端口封装到接口中 接口的内容 interface和module用法类似 interface......endinterfa 阅读全文
posted @ 2023-12-10 17:35 Icer_Newer 阅读(11) 评论(0) 推荐(0) 编辑
摘要: 过程语句块特性 ABC 过程块语句 always_comb 防止多驱动的问题:赋值块左侧的语句无法被另一个过程块赋值 if语句没有写else,sv会提示警告,sv认为是latch always不会再仿真0时刻进行触发 敏感列表 - 只要列表中的变量变化一次,always块中的语句就执行一次 alwa 阅读全文
posted @ 2023-12-10 15:11 Icer_Newer 阅读(24) 评论(0) 推荐(1) 编辑
摘要: 概述 常见使用方式 string b; string b=""; // 拼接字符串 string a = {"hi",b}; // 将字符串a赋值给[15:0]长度的变量r // 将字符串赋值给四值逻辑logic - 使用显式数据类型转换 // 显式数据类型转换:dst = T'(src) r = 阅读全文
posted @ 2023-12-10 08:34 Icer_Newer 阅读(201) 评论(0) 推荐(1) 编辑
摘要: 概述 自定义类型 枚举类型 定义枚举值 自定义枚举类型 枚举类型之间进行赋值是可以的 枚举类型可以赋值给整型,整型不能直接赋值给枚举类型 枚举类型 + 1 ==> 会进行隐式的转换,枚举类型转换为int类型,结果为int类型,然后在赋值给枚举类型是不允许的 D 结构体类型 阅读全文
posted @ 2023-12-09 21:31 Icer_Newer 阅读(27) 评论(0) 推荐(0) 编辑
摘要: Verilog数据类型 变量类型 - 用于存储值 线网类型 - 用于连接硬件模块 reg - 在硬件中可能会被综合成DFF和Latch 线网类型 线网的驱动 - 可以是门,也可以是实例化的门 变量只能通过过程赋值进行赋值 - initial/always integer - 32bit,有符号数 - 阅读全文
posted @ 2023-12-09 20:37 Icer_Newer 阅读(57) 评论(0) 推荐(0) 编辑
摘要: System Verilog概述 路科验证视频,B站可看(补充一下知识) 学习SV之前,最好有Verilog基础 SV诞生 SV发展历史 Verilog - 偏向于设计 System Verilog - 偏向于验证 SV的语言继承历史 阅读全文
posted @ 2023-12-09 19:47 Icer_Newer 阅读(7) 评论(0) 推荐(0) 编辑
摘要: 1. if-else语句 能够使用if条件语句进行条件判断 1.1 if 语法 if 条件 then 命令 fi if 条件; then 命令; fi 1.2 if-else 语法 if 条件 then 命令 else 命令 fi 1.3 if elif else 语法 if 条件1 then 命令 阅读全文
posted @ 2023-12-09 15:24 Icer_Newer 阅读(27) 评论(0) 推荐(0) 编辑
摘要: 1.expr命令 expr (evaluate expressions 的缩写),译为“表达式求值”。Shell expr 是一个功能强大,并且比较复杂的命令,它除了可以实现整数计算,还可以结合一些选项对字符串进行处理,例如计算字符串长度、字符串比较、字符串匹配、字符串提取等. 1.1 计算语法 e 阅读全文
posted @ 2023-12-09 13:54 Icer_Newer 阅读(29) 评论(0) 推荐(0) 编辑
摘要: Clocking:激励的时序 memory检测start信号,当start上升沿的时候,如果write信号拉高之后,将data存储到mem中 start\write\addr\data - 四个信号是同时在start上升沿进行,在采样的时候,testcase和Dut都是module,write采样的 阅读全文
posted @ 2023-12-07 23:46 Icer_Newer 阅读(10) 评论(0) 推荐(0) 编辑
摘要: 内容 验证平台与待测设计的连接 VTB driver和dut之间的连线通过tb中声明wire连线 通过例化dut的方式进行连接 A module的input连接到B module的output SVTB SV:*端口连接 SV:name端口连接 Verilog传统连接方式的缺点 interface 阅读全文
posted @ 2023-12-07 22:14 Icer_Newer 阅读(19) 评论(0) 推荐(0) 编辑
上一页 1 ··· 6 7 8 9 10 11 12 13 14 ··· 31 下一页