摘要: 内容 uvm_sequence_item是transaction的基类 可以使用uvm_sequence_item和uvm_transaction对数据进行建模 什么是事务(transaction)? 总线协议可以认为是一个事务 UVM数据流 testcase一般不产生数据,通常进行config s 阅读全文
posted @ 2024-03-14 16:27 Icer_Newer 阅读(110) 评论(0) 推荐(0) 编辑
摘要: DUT的RTL文件:router.v testbench架构 Flow test.sv test_base.sv 注册的时候,以uvm_component扩展出来的类,在注册的时候要使用uvm_component_utils()注册,以uvm_transaction,uvm_sequence扩展出来 阅读全文
posted @ 2024-03-14 14:38 Icer_Newer 阅读(18) 评论(0) 推荐(0) 编辑
摘要: Review this - 指的是当前的类 双冒号进行索引 - 静态方法或者是静态变量 在类之外写函数也可以使用双冒号进行索引,双冒号指定作用域 所有的phaze是UVM中已经定义好的,不需要显式调用 TLM - 事务级传输 UVM中组件之间的通信使用的是TLM,不使用mailbox uvm_seq 阅读全文
posted @ 2024-03-14 13:39 Icer_Newer 阅读(71) 评论(0) 推荐(0) 编辑