摘要: 内容 断言有很多,这里主要讲解SVA 立即断言和并发断言是SVA的核心 断言Assertion 假设有一个valid信号只能维持一个时钟周期,如果valid信号超过两个时钟周期就表示valid信号出错了 使用SV语法写monitor.sv检测信号 int cnt_valid; always @(po 阅读全文
posted @ 2024-03-10 00:03 Icer_Newer 阅读(340) 评论(0) 推荐(0) 编辑