摘要: Verdi使用目标 生成fsdb波形 查看fsdb波形 追踪RTL代码 目录 Verdi历史 生成fsdb波形 三个变量&&三个命令 变量PATH LD_LIBRARY_PATH so - share object 仿真软件/硬件平台与LD_LIBRARY_PATH的匹配 Modeltech-->M 阅读全文
posted @ 2023-11-19 12:56 Icer_Newer 阅读(17) 评论(0) 推荐(0) 编辑