摘要: APB Slave Design module apb_slave #( REG1_ADDR = 8'h00, REG2_ADDR = 8'h04, REG3_ADDR = 8'h08 ) ( // input signals input pclk, input presetn, input pse 阅读全文
posted @ 2023-10-23 22:05 Icer_Newer 阅读(26) 评论(0) 推荐(0) 编辑