摘要: 逻辑仿真工具-VCS 编译完成不会产生波形,仿真完成之后,生成波形文件,通过dve产看波形 vcd是波形文件的格式,但是所占的内存比较大,后面出现了vpd(VCD+)波形文件 将一些系统函数嵌入到源代码中,VCS不会自动保存波形文件 Dump波形,就是将仿真波形记录下来 1.后处理考虑的因素 在设计 阅读全文
posted @ 2023-03-19 21:13 Icer_Newer 阅读(152) 评论(0) 推荐(0) 编辑
摘要: 逻辑仿真工具VCS verdi只进行debug进行使用,不进行编译,只进行产生波形之后的debug 仿真速度和代码质量有关系,选项也会影响仿真速度,行为级>RTL>门级 信号的可见性和可追踪性 1.系统函数Debug display() -- 在active region;$monitor() -- 阅读全文
posted @ 2023-03-19 20:03 Icer_Newer 阅读(158) 评论(0) 推荐(0) 编辑
摘要: Verilog Simulation Event Queue 主要了解VCS是如何处理交给它的代码的 Verilog的仿真事件队列,介绍VCS如何处理交给它的代码。VCS是Synopsys公司的,支持多种语言。 1.Verilog 仿真事件队列 Verilog内建仿真规范 IEEE1364,Veri 阅读全文
posted @ 2023-03-19 17:55 Icer_Newer 阅读(751) 评论(0) 推荐(0) 编辑
摘要: 1.猜随机数 #include <stdio.h> #include <string.h> #include <stdlib.h> int main() { // 产生四个随机数放在一个数组中 int num = 0; int rand_num[4]; int guess_num[4]; srand 阅读全文
posted @ 2023-03-19 14:53 Icer_Newer 阅读(18) 评论(0) 推荐(0) 编辑