UVM - 19 (callback)

内容

改变UVM组件的功能行为

  • pre_send - 用于注入error
  • post_send - 用于收集coverage

编写代码实现简单的回调操作

  • 定义一个new_driver extends driver,重写其中的pre_send和post_send方法

UVM_callbacks

  • 不需要创建复杂的oop结构
  • factory进行组件的覆盖
  • callbacks不是组件覆盖只是数据修改和事务处理

第一步:嵌入callback函数/任务

第二步:声明空壳类facade class

第三步:编写callbacks方法(error objection)

第四步:在testbench中创建并登记callback的实例

在driver中实现覆盖率

通过callback实现覆盖率

创建并登记callback对象

Callback调试方法

  • 仿真选项

UVM sequence中的callback

实例

driver_callback



custom_driver_callback




  • 多个callback可以添加到同一个driver,执行顺序与添加顺序保持一致
posted @ 2024-03-21 03:55  Icer_Newer  阅读(62)  评论(0编辑  收藏  举报