UVM - 17(计分板和覆盖率)

内容

Scoreboard简介

scoreboard:transaction stream

scoreboard实现方法

  • 不同的协议不同,数据类型不同
  • in_order_class_comparator - 按照一定的顺序比较
  • comparator和两个monitor进行连接

Scoreboard:monitor

Agent中嵌入monitor

UVM agent示例


  • is_active - 用于指示当前的agent是active agent还是passive agent

验证平台中的agent的配置

参数化的scoreboard

scoreboard:数据格式转换

scoreboard:out_of_order乱序比较

  • driver发送给dut的数据,在dut中经过延时之后,可能第一笔输入不是第一笔输出,所以不能用in_order_comparator

scoreboard:multi-stream


功能覆盖率

覆盖率与验证平台的连接

配置信息覆盖率


输入激励的覆盖率

正确性的覆盖率

posted @ 2024-03-20 12:59  Icer_Newer  阅读(182)  评论(0编辑  收藏  举报