摘要: 验证计划 AHB-SRAMC验证计划 阅读全文
posted @ 2024-03-22 10:40 Icer_Newer 阅读(22) 评论(0) 推荐(0) 编辑
摘要: IC Verification Flow 阅读design specification 编写验证计划 搭建验证平台 编写testcase regression回归测试:周期往复的进行回归验证,为测试案例提供不同的seed 分析代码/功能覆盖率 写测试报告 SRAMC Design Spec 可以到I 阅读全文
posted @ 2024-03-22 08:53 Icer_Newer 阅读(19) 评论(0) 推荐(0) 编辑
摘要: 如何开展验证的工作? 拿到设计spec,理解和分析spec 写验证计划:验证功能点提取,规划test,tb架构,用什么方法学,功能覆盖率的提取coverpoint,检查机制.....) 搭建验证环境(UVM TB) coding 编写用例测试,调试debug,发现RTL的bug regression 阅读全文
posted @ 2024-03-21 14:59 Icer_Newer 阅读(27) 评论(0) 推荐(0) 编辑
摘要: 内容 Register和memory 不使用UVM RAL的验证平台 使用UVM RAL的验证平台 阅读全文
posted @ 2024-03-21 11:18 Icer_Newer 阅读(14) 评论(0) 推荐(0) 编辑
摘要: sequence library UVM 序列库sequence library 建立序列库包 在验证环境中引用序列库 登记并执行 自定义序列库对象 配置问题 配置序列库 配置序列库的例子 自定义序列执行 阅读全文
posted @ 2024-03-21 10:44 Icer_Newer 阅读(24) 评论(0) 推荐(0) 编辑
摘要: 内容 virtual sequence 管理sequence在多个agent中管理序列的执行 不同agent执行的sequence有先后顺序 如果设置default_sequence会并行执行 virtual sequence/sequencer 通过virtual sequencer中的seque 阅读全文
posted @ 2024-03-21 10:24 Icer_Newer 阅读(43) 评论(0) 推荐(0) 编辑
摘要: 内容 UVM中组件的执行顺序 phase Common phase Run phase uvm_phase类中核心方法 phase同步 synchronization phase objection机制 uvm平台至少有一个objection机制,存在raise_objection和drop_obj 阅读全文
posted @ 2024-03-21 09:42 Icer_Newer 阅读(22) 评论(0) 推荐(0) 编辑
摘要: 内容 改变UVM组件的功能行为 pre_send - 用于注入error post_send - 用于收集coverage 编写代码实现简单的回调操作 定义一个new_driver extends driver,重写其中的pre_send和post_send方法 UVM_callbacks 不需要创 阅读全文
posted @ 2024-03-21 03:55 Icer_Newer 阅读(62) 评论(0) 推荐(0) 编辑
摘要: test Makefile Makefile中定义变量,在运行Makefile的时候可以传入参数 make verbosity=UVM_HIGH packet 继承自uvm_sequence_item 定义随机变量并创建约束 test_base Virtual interface interface 阅读全文
posted @ 2024-03-21 02:35 Icer_Newer 阅读(15) 评论(0) 推荐(0) 编辑
摘要: 内容 Scoreboard简介 scoreboard:transaction stream scoreboard实现方法 不同的协议不同,数据类型不同 in_order_class_comparator - 按照一定的顺序比较 comparator和两个monitor进行连接 Scoreboard: 阅读全文
posted @ 2024-03-20 12:59 Icer_Newer 阅读(182) 评论(0) 推荐(0) 编辑