摘要: 理解数字电路设计中的自底向上和自顶向下的设计方法。 解释verilog中模块和模块实例之间的区别。 学习四种不同的抽象角度来描述同一个模块。 解释数字电路仿真中的各个组成部分,定义激励块和功能块,说明两种使用激励进行仿真的方法。 1. 设计方法学 数字电路设计中有两种基本的设计方法:自底向上和自顶向 阅读全文
posted @ 2021-05-12 00:03 辰风阆苑 阅读(399) 评论(0) 推荐(0) 编辑