摘要: 介绍:最近再学systemVerilog绿皮书《System Verilog验证 测试平台编写指南》,里面有很多的程序想要去仿真运行并查看结果。手头只有装有windows10系统的电脑,因此装了个modelsim10.7来运行程序并仿真。用一个简单的例子来记录一下编译和仿真过程 后续会去找个装有VC 阅读全文
posted @ 2021-02-04 00:04 辰风阆苑 阅读(2259) 评论(0) 推荐(0) 编辑