摘要: 主要内容: 1. 4位流水线乘法器 2. 8位流水线乘法器 3. 16位流水线乘法器 1. 4位流水线乘法器 1 module multi_4bits_pipelining(mul_a, mul_b, clk, rst_n, mul_out); 2 3 input [3:0] mul_a, mul_ 阅读全文
posted @ 2020-06-27 16:59 不学无墅_NKer 阅读(2466) 评论(0) 推荐(0) 编辑