2017年9月13日

二进制码与格雷码互转换 verilog

摘要: 格雷码原理 格雷码是一个叫弗兰克*格雷的人在1953年发明的,最初用于通信。格雷码是一种循环二进制码或者叫作反射二进制码。格雷码的特点是从一个数变为相邻的一个数时,只有一个数据位发生跳变,由于这种特点,就可以避免二进制编码计数组合电路中出现的亚稳态。格雷码常用于通信,FIFO或者RAM地址寻址计数器 阅读全文

posted @ 2017-09-13 17:12 ---春暖花自开 阅读(7690) 评论(0) 推荐(0) 编辑

导航