FPGA设计中使用宏定义还是parameter还是localparam?

宏定义

parameter

localparam

posted @ 2020-07-01 14:42  嗨喽来了  阅读(290)  评论(0编辑  收藏  举报