摘要: 几种基本渐进符号的解释 要注意的一点是,算法分析中的数量级$n$是不小于零的 整数 ,即可取0,1,2 …… $O$ 上界情况 对于执行次数函数$f(n)$,存在常量$n_0,c$,有任意$n n_0$ 使得$0 \leq f(n) \leq cg(n)$,则称$f(n)$在$O(g(n))$中。 阅读全文
posted @ 2020-03-14 10:49 Bankarian 阅读(1049) 评论(0) 推荐(0) 编辑
摘要: 对回溯的理解 回溯法的大致意思是这样的: 问题的解往往是树状伸展,能够使用一个 树形结构 来表示解空间,我称之为解空间树吧,那么解出问题的解的过程就是走完一条 根到叶子的路径 的过程。这个树的每一层都代表一个状态,该层的节点可以看作是此状态下的一个选择,可以触发下一层的状态。回溯法从解空间树的根节点 阅读全文
posted @ 2020-03-13 23:32 Bankarian 阅读(138) 评论(0) 推荐(0) 编辑
摘要: 什么是哈希表 哈希表本质上就是一个用于存放记录的 数组 ,只不过访问这个数组不是简单地通过下标,而是利用要查询对象的某个 特征值 通过 散列函数 来映射成数组的下标,接着下标查询数组中的值。充分地利用了 数组下标查询的高效性 ,所以若散列函数的设计好,那么就可能可以达到$O(1)$的时间复杂度。 哈 阅读全文
posted @ 2020-03-12 15:00 Bankarian 阅读(179) 评论(0) 推荐(0) 编辑
摘要: 以下的排序都以按数值大小 升序 为最终的排序目标 1 冒泡排序 非常暴力且直接的思路,每个元素两两比较,直到所有元素都放在了正确的位置。 实际上达到的效果是每一趟循环都把一个当前最小的元素(或最大的元素)放到了正确位置。 c++ for (int i = 0; i = i; j) { if (arr 阅读全文
posted @ 2020-03-10 19:44 Bankarian 阅读(167) 评论(0) 推荐(0) 编辑
摘要: Java 对象初始化 当我门创建某个对象 new ClassName(), 或者是访问某个类的静态方法 ClassName.staticFields 的时候就需要初始化一个类 Step1 定位 文件 Java 解释器去寻找类的路径,找到编译好的 文件。若有父类则先定位父类的 文件。 Step2 加载 阅读全文
posted @ 2020-03-08 17:17 Bankarian 阅读(200) 评论(0) 推荐(0) 编辑
摘要: Introduction 最大流问题就是求出一个可行流量使得从 单源点 到 单汇点 的流网络中流量最大。 且满足如下约束: 流过边$e$的流量不超过$e$的容量$capacity$ 对于每一个顶点$node_{\neq source,sink} \quad incoming flow=outgoin 阅读全文
posted @ 2020-01-22 22:08 Bankarian 阅读(206) 评论(0) 推荐(0) 编辑
摘要: What is Difference Constrains System? 差分约束系统: $V$为系统中的变量集合 $C$为系统中约束集合,即一组不等式,每一条约束的形式如 $x_i x_j \leq b_{i,j}$, $x_i,x_j \in V, b_{i,j} \in R$ 写成矩阵的形式 阅读全文
posted @ 2020-01-20 13:30 Bankarian 阅读(142) 评论(0) 推荐(0) 编辑
摘要: 之前由于觉得博客写起来没有笔记方便,所以停了很久。 最近开始使用markdown来写博客,感觉挺清爽,又要开始增产啦~ Johnson's Algorithm = Bellman Ford + Dijkstra 若是仅仅使用$|V|$次Dijkstra算法跑完全图可以求得最短路径,时间复杂度$(V^ 阅读全文
posted @ 2020-01-18 23:08 Bankarian 阅读(249) 评论(0) 推荐(0) 编辑
摘要: 原题连接:石子合并 题目描述 在一个圆形操场的四周摆放N堆石子,现要将石子有次序地合并成一堆.规定每次只能选相邻的2堆合并成新的一堆,并将新的一堆的石子数,记为该次合并的得分。 试设计出1个算法,计算出将N堆石子合并成1堆的最小得分和最大得分. 输入格式 数据的第1行试正整数N,1≤N≤100,表示 阅读全文
posted @ 2019-11-13 23:26 Bankarian 阅读(174) 评论(0) 推荐(0) 编辑
摘要: H74139是双2-4译码器,最近才搞懂其大致的原理,稍微记录记录。 工作原理的VHDL代码: -- H74139 双2-4译码器,VHDL主要代码 libray IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; USE 阅读全文
posted @ 2019-10-07 23:47 Bankarian 阅读(1010) 评论(0) 推荐(0) 编辑